AXI Stream 驗證 IP (VIP)

簡介

现金网博e百 描述

AXI Stream VIP 可用於為支持定製 RTL 設計流程的 AXI 數據流主設備及 AXI 數據流從設備驗證連接和基本功能性。此外,它還支持貫通模式,該模式明顯有助於用戶監控事務處理信息/吞吐量或驅動有源激勵。AXI Stream VIP 提供的實例測試台和測試可演示 AXI4-Stream 的能力。這些實例可作為一個起點,為支持 AXI4-Stream 的定製 RTL 設計創建測試。這些實例可通過 IP 集成器訪問。

使用 AXI Stream 驗證 IP,無需許可證。


主要特性與優勢

  • 支持所有協議數據位寬及地址位寬、傳輸類型與響應
  • 全麵的 AXI Stream 協議檢查器支持
  • 集成的 ARM 許可證協議斷言
  • 事務處理級協議校驗(突發類型、長度、大小、鎖定類型、高速緩存類型)
  • 行為 SystemVerilog 語法
  • SystemVerilog 基於類別的 API
  • 可配置仿真消息發送
  • 在 Vivado 設計套件中提供
  • 在 IP 集成器中提供的 SystemVerilog 實例設計與測試台
  • 所支持的仿真器:Aldec Riviera-PRO、Cadence Incisive 企業仿真器、Vivado 仿真器、Mentor Graphics Questa Prime 和 Synopsys VCS

3d狮王轮盘游戏下载

技術文檔

特色技術文檔

Default Default 標題 文件類型 日期