返回 Vivado 概述

達到 Fmax 目標

概述

在高速設計中實現 fMAX 目標,是硬件設計周期中最具挑戰性的環節之一。Vivado™ 帶來了獨特的功能,如報告 QoR 評估 (RQA)報告 QoR 建議 (RQS) 以及智能設計運行 (IDR) 等,其可幫助您收斂時序。使用 RQA、RQS 和 IDR,將幫助您在幾天(而非幾周)內實現性能目標,這可帶來巨大的生產力收益。

報告 QoR 評估 (RQA)

 

報告 QoR 評估 (RQA) 特性可預測設計達到時序目標的可能性。它報告了一個從 1 到 5 的簡單分數,表示可能性的程度,1 表示可能性最低,5 表示可能性最高。除了評估分數外,RQA 還指出了帶來該分數的問題類型,對方法違規進行了總結,並提出了改善低評分的下一步建議。在編譯過程的早期運行時,RQA 有助於確定是繼續編譯,還是在編譯成功機會很小的時候,避免浪費精力。

得分 預測
1 設計不會完成執行
2 設計將完成執行,但不會達到性能目標
3 設計達到性能目標的幾率很小
4 如果使用一些有針對性的指令來運行,設計應該能達到性能目標
5 設計將達到性能目標

報告 QoR 建議 (RQS)

此外,Vivado ML 版還隨附帶了另一個實用程序,稱之為報告 QoR 建議 (RQS)。在評估較差時,可運行 RQS 來獲得如何改進設計的建議,以實現時序收斂。您可以使用 RQS 來提高您的分數,比如從 1/2/3/4 提高到一個更優的分數,以增加達到性能目標的幾率。

RQS 報告包括對 UltraFast 方法報告 (report_methodology) 中關鍵、警告和谘詢問題的總結。如果您還沒有運行方法報告,就會得到運行和檢查報告的提醒。解決和修複方法報告中的問題,是確保設計能夠符合性能要求的第一步。

新增的 RQS 優勢是,其不僅可分析設計中限製性能的問題,而且還可生成糾正約束和工具選項,從而可讓設計快速達到性能目標。

如欲了解有關使用 RQA 和 RQS 實現自動設計收斂的更多詳情,請參見 UG906 第 8 章

智能設計運行(IDR)

智能設計運行 (IDR) 是一個自動時序收斂流程,可幫助您滿足時序要求。該功能以增加編譯時間為代價,顯著提高了生產力,編譯時間 比默認 Vivado 編譯時間多 3 至 5 倍。

Vivado 使用機器學習 (ML) 優化的學習來估算延遲、擁塞和建議限製條件,其可幫助設計達到時序目標。用於時序收斂的 IDR 是一種積極的時序收斂執行運行,其目標是達到設計的性能目標。

IDR 執行這些 ML 策略預測的工具選項和指令。通過運行這些 ML 策略,任何單次運行的 QoR 波動都會得到緩和。

IDR 分為 3 個階段:
IDR 分為 3 個階段:

下麵的基準測試顯示了 Versal 單片器件 Vivado ML Edition 2023.1 版中的 IDR 結果。紅線顯示的是 IDR 運行相對於基線運行的改進百分比。藍色條顯示的是 45 個客戶設計,平均改進 8%。

IDR_Benchmark_versal

在 2023 年 3 月 26 日之前,Vivado 工程團隊使用 Vivado ML 軟件工具 2023.1 版對客戶的 45 項 Versal 設計進行了測試,對在智能設計運行 (IDR) 模式下運行和不在該模式(在默認模式)下運行的情況進行了比較。結果反映了所有設計的單次測試運行,差異計算和平均。實際結果可能因每個設計、係統配置、軟件版本等而異。